Timeline



2017-10-28:

22:47 Changeset in mainline [62f8025]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Centrally disabled deallocation of endpoint-related data structures …
21:47 Changeset in mainline [6b2930b]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Issuing deconfigure / configure commands when offlining / onlining a …
17:36 Changeset in mainline [eca820c]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Revert change to the way startup file is referenced. The path is not …
16:46 Changeset in mainline [a3044b4]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Ending device operation gracefully when possible.
16:35 Changeset in mainline [9868982]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Refactoring. XHCI bus ops are set using a neat macro.
16:29 Changeset in mainline [c910ecf]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Added fun_online and _offline hooks to [EOU]HCI.
15:41 Changeset in mainline [d37514e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Routing fun_online and _offline through the USB bus. Added appropriate …
14:54 Changeset in mainline [d46ceb2b]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Modified libusbdev to forward fun_online and _offline calls to USB …
12:07 hdaudio.gz attached to Ticket #701 by Jakub Jermář
hdaudio binary that crashed
12:06 console.log attached to Ticket #701 by Jakub Jermář
12:02 Ticket #701 (hdaudio crash in hda_corb_fini) created by Jakub Jermář
As of mainline,2846, hdaudio crashes with the following …
11:40 Changeset in mainline [c1f68b0]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Use recursive mutex to protect task_t::cap_info This makes it …
11:26 Changeset in mainline [15d9fe6]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Implement recursive mutex
11:25 Changeset in mainline [40a3bfa]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Refactoring. Moved a lot of device deallocation from root hub to bus. …
10:39 Changeset in mainline [58ac3ec]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
uhci: finished forgotten refactoring to generic batch
08:55 Changeset in mainline [7cf8522]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Unpublish and free phone and IRQ capabilities in ipc_cleanup()
08:53 Changeset in mainline [3dab10ae]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Drop phone kobject reference when slamming the phone in answer_preprocess
08:53 Changeset in mainline [d470ec8]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Maintain phone kobject reference for call_t::caller_phone
08:49 Changeset in mainline [d088616]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Provide locked versions of cap_unpublish() and cap_free() This is …

2017-10-27:

22:18 helenos-deadlock.svg attached to Ticket #700 by Ondra Hlavatý
IPC state in the deadlock
22:17 Ticket #700 (Deadlock between devman and locsrv) created by Ondra Hlavatý
In yet undiscovered conditions, a deadlock while booting occurs. The …
18:44 Changeset in mainline [9b642f92]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Merge reproducibility improvements. These improvements reduce the …
18:41 Changeset in mainline [f9c4bc5]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Fix pcut.
18:24 Changeset in mainline [0798689]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Reference boot arch headers directly, instead of via symlinks. This …
16:53 Changeset in mainline [dd162f6]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Use -fdebug-prefix-map for reproducible debug info.
16:53 Changeset in mainline [476ac3b]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Add a config option to strip revision information from build outputs.
16:52 Changeset in mainline [bc6cdc4]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Make mkarray.py produce reproducible archives.
16:51 Changeset in mainline [584121d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Move reference to the startup object file (entry.o) out of the linker …
15:22 Changeset in mainline [8b8c164]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
libusbhost bus: endpoint→device is now managed by bus implementation …
11:39 Changeset in mainline [7010861]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Added a check for trb ring initialization This could cause weird …
11:33 Changeset in mainline [3f6c94ed]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Stubs for stream initialization Streams should not be initialized …
09:36 Changeset in mainline [5bc8250]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Fixed argument order
00:20 Changeset in mainline [d4da860]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Break circular dependency between libdrv and libusb.

2017-10-26:

23:45 Changeset in mainline [37e8c4a]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Disallow common symbols. They are never needed.
23:44 Changeset in mainline [90c340fb]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Convert two "old style" static asserts.
22:21 Changeset in mainline [a501aaba]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci trb_ring: fix ring wrapping The Toggle Cycle of the Link TRB …
14:41 Changeset in mainline [e4c8e3cf]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Add support for XFCE terminal to ew.py run in console function.
13:20 Changeset in mainline [928afc8d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci: unleash the full power of xhci_cmd_sync_inline
08:38 Changeset in mainline [25251bb]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci: move pointer to hc from device to bus Also, fixes the bug of hc …

2017-10-25:

22:08 Changeset in mainline [62558202]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci: fix th_port not getting a value
19:00 Changeset in mainline [7c50de5a]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Remove unused LIBN variable.
15:22 Changeset in mainline [2cf28b9]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci: connecting devices deeper than to roothub It still does not …
14:36 Changeset in mainline [f668d60]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci: moved speed back to HC, keeping usb speed → port speed mapping
13:56 Changeset in mainline [47ab89e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
trb ring: fix freeing segments
13:45 Changeset in mainline [3cbc138]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci commands: sync returns EOK only if the command was finished …
12:23 Changeset in mainline [2b35478]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
usb fix: fixed mistaken argument
11:55 Changeset in mainline [a5b3de6]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
usbhost endpoint: removed target The reasons for having usb_target_t …
08:03 Changeset in mainline [c3d926f]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Big command refactoring. Unified and encapsulated command function …
00:25 Changeset in mainline [e9690b6]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Allow disabling intmax_t in downstream code (used in coastline).
00:03 Changeset in mainline [0206d35]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
Moving things around to improve isolation of responsibilities Bus …

2017-10-24:

21:49 Changeset in mainline [1c95fd0]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Fix missing typedef.
11:06 Changeset in mainline [56db65d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
usbhost: provide usb_endpoint_desc_t to bus when registering endpoint …
10:10 Changeset in mainline [be1b1e68]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Enumerate OBIO by DDF.
05:34 Changeset in mainline [b1eb7ef]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Fix libposix <strings.h>.
05:29 Changeset in mainline [810a36f]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Merge branch 'types'.
05:25 Changeset in mainline [673ea28]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Finish documenting macros.h.
04:58 Changeset in mainline [16bfcd3]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Fix up headers.
04:57 Changeset in mainline [c9f3e7e9]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Add <_bits/NULL.h>
04:56 Changeset in mainline [ce55b43]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Gut autotool.py and use it to generate the macros we want.
04:56 Changeset in mainline [8ddaaac]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Support intmax_t in printf ('j' modifier).
04:55 Changeset in mainline [35ebd42]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Fix up some type casts and format strings.
04:54 Changeset in mainline [b639d56]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Remove references to common.h from Makefiles.
04:54 Changeset in mainline [002fd5f]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Replace parts of system headers with <_bits/…>.
04:53 Changeset in mainline [9ddcb0b]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Add <_bits/…> headers.
04:13 Changeset in mainline [c8fbbe7]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Add missing build dependency, and fix cleanup. The missing dependency …

2017-10-23:

23:39 Changeset in mainline [894f58c]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Stub for freeing secondary stream arrays
23:17 Changeset in mainline [ec700c7]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Superspeed companion descriptor is processed Superspeed endpoint …
21:26 Changeset in mainline [b724494]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Moved some code from RH to HC. Simplified device address process. …
19:03 Changeset in mainline [327f147]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
usbhost: change parameters of methods Pass (device_t, usb_target_t) …
18:51 Changeset in mainline [e160bfe8]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
merge mainline (again) to fix build Perhaps it wasn't so good idea to …
18:37 Changeset in mainline [31cca4f3]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Corrected deadlock in IPC between USB remote interface and usbdev …
09:33 Changeset in mainline [81487c4a]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Changed PSA allocation to conform with specification.

2017-10-22:

22:45 Changeset in mainline [82fe063]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
More docs. Typo fix.
21:47 Changeset in mainline [89cefe78]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Refactored transfer DS allocation in preparation for streams. Also, …
16:38 Changeset in mainline [ee794529]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Refactoring. Renamed functions in bus endpoint interface. …
16:38 Changeset in mainline [4594baa]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Prevented possible deadlock during endpoint release.
16:37 Changeset in mainline [a4e26882]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Very rudimentary support for device disconnection.
10:02 Changeset in mainline [2c091a6]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci ep: fixed error path
09:30 Changeset in mainline [2e5aea1]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Moved around a declaration. The OS builds again.
03:47 Changeset in mainline [2b61945]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci: use device_t for bookkeeping This started as a little …

2017-10-21:

23:18 Changeset in mainline [766043c]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Keeping track of root hub devices by port id.
22:33 Changeset in mainline [367db39a]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
If isl is not found on the system, build it ourselves. This is useful …
22:31 Changeset in mainline [5265c1eb]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Configure binutils to produce deterministic output by default.
22:05 Changeset in mainline [3277fb0]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Allow toolchain.sh to resume interrupted downloads.
20:52 Changeset in mainline [5fd9c30]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
usbhost refactoring: let transfer_batch be initialized by bus …
19:40 Changeset in mainline [8988fde]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Fix overlay checking. My mistake.
19:33 Changeset in mainline [74b852b]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Added synchronization to command list.
14:19 Changeset in mainline [f45c78f]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Added boilerplate for device detach.
12:31 Changeset in mainline [f270ecb]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Implemented disable slot for device detachment.
12:23 Ticket #699 (Serial console scrolling is slow) created by Jiri Svoboda
As of mainline,2820 if you build sparc64/ultra and run it …
12:17 Changeset in mainline [8ea7459]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Refactored some parts of RH to HC. Also moved some bus ops around.
10:34 Changeset in mainline [d1d7a92]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Added option to enqueue multiple TDs at once. Demoted some log messages.
05:46 Changeset in mainline [cc92076]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Clean up minor issues with the Makefiles.
04:49 Changeset in mainline [9c14309]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Fix a small inconsistency introduced in previous commit.
04:41 Changeset in mainline [4761f54]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Get rid of makedepend.
03:55 Changeset in mainline [feab36ae]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Split kernel and uspace into separate toplevel targets, to allow …

2017-10-20:

13:24 Ticket #460 (Extend devctl with driver management) updated by Jiri Svoboda
Status, Owner changed
13:23 Ticket #460 (Extend devctl with driver management) updated by Jiri Svoboda
* mainline,2820 unload-dv to manually unload a driver that …
12:52 Ticket #460 (Extend devctl with driver management) updated by Jiri Svoboda
Some improvements since this ticket was filed: * …
09:52 Changeset in mainline [1252e81]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Added boilerplate function for isoch transfers. Some more checks.
09:16 Changeset in mainline [03936831]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
Merge mainline to fix build
08:14 Changeset in mainline [fe1c48d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Removed bus address operations.
07:18 Changeset in mainline [81685dd9]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Add devctl unload-drv subcommand to manually unload a driver that is …
00:33 Changeset in mainline [04efacc]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Fix possible memory leak in mkmfs.
00:31 Changeset in mainline [0464967]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Fix gcc warnings when building with -O1 or -Og flags. (Thanks Ondřej …

2017-10-19:

23:51 Changeset in mainline [c212a03]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Fix include guards (thanks clang).
21:40 Changeset in mainline [913007f]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Final change with respect to command timeouts. Because communication …
20:52 Changeset in mainline [2896ff6]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Vaguer log level. Extracted timeout constant from command completion …
20:30 Changeset in mainline [ccbf93f]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
Do not use uninitialized variables Return value in extent.c was not …
20:16 Changeset in mainline [1a9a72a]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Reverted unintentional change in toolchain.sh. Bazaar should really …
20:02 Changeset in mainline [1fd2f81]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Removed RH setup from XHCI op hooks.
20:00 Changeset in mainline [0f6b50f]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Added DDF device to HCD initialization to prepare RH data structures …
18:59 Ticket #281 (printf functions are overserialized) updated by Jiří Zárevúcky
Status, Owner changed
14:01 Changeset in mainline [60af4cdb]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Added get port bandwidth command

2017-10-18:

15:10 Changeset in mainline [b1efe3e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Add partial support for clang-format.

2017-10-17:

17:47 Changeset in mainline [b446b02]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Enumerate APIC and i8259 via DDF.
13:11 Changeset in mainline [95c675b]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
Merge mainline
10:09 Changeset in mainline [dbf32b1]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci: cleanup And by the way… the USB mouse is now working :)

2017-10-16:

17:28 Changeset in mainline [bb784ae]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Added max ESIT payload macro.
15:50 Changeset in mainline [a416d070]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Let ICP-IC be enumerated by the DDF.
12:03 Changeset in mainline [f971e957]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Removed hardcoded target for doorbell
11:37 Changeset in mainline [3afcf68]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Removed unnecessary malloc and forced bounds for async transfer

2017-10-15:

23:17 WhoIsWho edited by Jiří Zárevúcky
(diff)
21:35 Changeset in mainline [b7db009]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci: fix crashing QEMU
20:08 Changeset in mainline [9b2f69e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Setting up endpoint contexts (almost) properly. Boilerplate for …
16:55 Changeset in mainline [816f5f4]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Remote USB (async) sending structures remote_usb_register_endpoint is …
15:21 Changeset in mainline [1974f56a]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
SERVICE_IRC fourcc is no longer used.
15:05 Changeset in mainline [2770b66]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Fixed few bugs related to control transfers and some deadlocks. …
15:04 Changeset in mainline [9a2eb14]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
As a temporary measure, let IRC servers use the location service for …
13:44 Changeset in mainline [20eaa82]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
usbhost refactoring: introduced bus→enumerate_device
02:04 Changeset in mainline [867b375]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
hcd_ddf_new_device refactoring This long function is now split into …

2017-10-14:

23:13 Changeset in mainline [75911d24]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
ICP could relay disable/clear interrupt.
22:49 Changeset in mainline [d51838f]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Let leaf drivers enable/disable/clear interrupts via hw_res instead of …
17:21 Changeset in mainline [d7869d7e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Reading assigned USB addresses, issuing Configure Endpoint commands to …
13:39 Changeset in mainline [2297fab]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Reintroduced alloc_dev(), brought it up to speed with recent changes.
08:58 ReleaseNotes/Mainline edited by Jakub Jermář
(diff)

2017-10-13:

17:40 Changeset in mainline [dcf0597]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci rh: move away from virthub xHC's root hub is too different from …
13:04 Changeset in mainline [063dfe8]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Moved initialization and polished some log messages.
12:32 Changeset in mainline [c10daa8]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Refactored XHCI bus to hold devices instead of endpoints. Added middle …
11:13 Changeset in mainline [366e9b6]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
usbhost: setup of the root hub is now a method of an driver [oue]hci …
10:18 Changeset in mainline [cb69854]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Returning some basic speed, so that we can get xhci up and running.
10:03 Changeset in mainline [5995383c]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
usbhost refactoring: fix introduced bugs
09:38 Changeset in mainline [c0e4b5b2]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
usbhost refactoring: vhc Now, please, review.
09:21 Changeset in mainline [741bcdeb]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
WIP usbhost refactoring: ehci completed vhc to go…
08:49 Changeset in mainline [e6b9182]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
WIP usbhost refactoring: ohci completed Along with that we noticed …

2017-10-12:

23:05 Changeset in mainline [0a5833d7]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Corrected endpoint access.
19:57 Changeset in mainline [0e3e1f6]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Corrected to assume endpoint_t is actually xhci_endpoint_t.
19:55 ReleaseNotes/Mainline edited by Jakub Jermář
Update release notes, still needs some work (diff)
17:59 Changeset in mainline [ce732e74]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Allocate capabilities from a dedicated slab cache
17:38 Changeset in mainline [d29ae35]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Fix include guard typo.
16:42 Changeset in mainline [a8435eb5]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Implemented data structure for endpoint management using USB target as …
16:07 Changeset in mainline [f9d787c]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Target is not a pointer
16:06 Changeset in mainline [fc0271a5]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
WIP usbhost refactoring: uhci converted
15:45 Changeset in mainline [d0db4a0]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Doorbell target fix
15:25 Changeset in mainline [add878aa]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Added empty implementation of XHCI bus method bodies.
14:18 Changeset in mainline [a5976973]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
fixup: forgotten files
14:07 Changeset in mainline [41924f30]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
WIP usbhost refactoring This commit replaces callbacks with more …

2017-10-11:

20:54 Changeset in mainline [7e74911]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Delegated transfer block recycling to the kernel frame allocator. …
20:35 Changeset in mainline [1c5c96d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Unify offset ranges in update_damaged_region.
20:25 Changeset in mainline [b08e98ba]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Create the missing empty directories.
18:53 Changeset in mainline [63adb18]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Added transfer block data structure and dequeue mechanism.
16:32 Changeset in mainline [cf13b17]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Rename <sys/types.h> to <types/common.h>
13:18 Changeset in mainline [eaf5e86]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci: whitespace fixes
09:55 Changeset in mainline [ac18b08]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Added superspeed endpoint companion descriptor

2017-10-10:

20:47 Changeset in mainline [2a7749d7]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Add <sys/types.h> as a wrapper for <libarch/types.h>, and eliminate …
20:45 Changeset in mainline [04ba110]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Eliminate direct references to <libarch/inttypes.h>.
18:58 Changeset in mainline [a4fb8d60]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Kill U/INTN_C(). It's only used in one place and its practical …
18:21 Changeset in mainline [33f86a3]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Add PRI*PTR macros and correct a few printfs.
15:34 Changeset in mainline [c46bfbc]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Allow caps_task_alloc() to fail
08:57 Ticket #697 (Kernel console is painfully slow on Freerunner) created by Jiri Svoboda
As of mainline,2797, kernel console is running really slow on Neo …
06:51 Changeset in mainline [cccd60c3]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
hw_res_enable_interrupt should allow enabling individual interrupts.
06:34 Changeset in mainline [2bdf92a5]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Eliminate remaining cases of drivers directly calling …

2017-10-09:

22:25 Ticket #671 (Allow arbitrary number of phones per task) closed by Jakub Jermář
fixed: Fixed in mainline,2797.
22:18 Changeset in mainline [05913fe7]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Allow virtually unlimited number of capabilities per task
21:01 Changeset in mainline [e02bfb4]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Remove duplicated label.
21:00 Changeset in mainline [b1018a29]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Fix all copypasted include guard typos.
20:03 Changeset in mainline [bfdb7c63]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Change amd64 target to amd64-unknown-elf. This fixes clang build on amd64.
19:10 Changeset in mainline [02667d9]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Resource arenas should be destructible
18:17 Changeset in mainline [d75dc05]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Remove the unmaintained and likely broken ICC support.
16:27 Changeset in mainline [42bc933]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Dummy for bulk transfers (need to support streams)
15:46 Changeset in mainline [ca207e0]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Remove unused enums
15:34 Changeset in mainline [591b989]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Change toolchain.sh to install into target-specific directory.
15:33 Changeset in mainline [b08941d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Allow the cross-compiler toolchain path to be prefixed with full …
15:03 Ticket #695 (e1000_link_restart() needs to be double-checked/tested) closed by Jiří Zárevúcky
fixed: The original author (Zdenek Bouska) says the fix seems all right. …
14:11 Changeset in mainline [a0be5d0]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Moved ring_doorbell, added address check

2017-10-08:

20:55 Changeset in mainline [07cb0108]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Sort out irc_disable_interrupt vs irc_clear_interrupt.
20:42 Changeset in mainline [53a309e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Must not explicitly close DDF-provided parent session.
20:34 Changeset in mainline [d15797d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Remove unnecessary references to devman.
20:17 Changeset in mainline [2fd26bb]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Make it simpler to get parent function session repeatedly without …
19:37 Changeset in mainline [82cbf8c6]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Replace the old hash table implementation in the kernel with the newer …

2017-10-07:

16:39 Changeset in mainline [ae03552e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
hcd: get rid of async_usleep

2017-10-06:

22:37 Ticket #696 (Three character device interfaces is a crowd) updated by Jiri Svoboda
Note that ns8250 also implements implements methods from …
20:03 Ticket #696 (Three character device interfaces is a crowd) created by Jiri Svoboda
Currently there are three different character device interfaces in use …

2017-10-05:

19:09 Changeset in mainline [81b9d3e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Merge CUDA DDF conversion.
18:34 Changeset in mainline [84eb4edd]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
autotool.py: For clang, improve error messages and remove check for …
18:00 Changeset in mainline [c188c62]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
CUDA driver should use hw_res to obtain HW configuration.
17:17 Changeset in mainline [e9e24f2]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Basic commands transfer implementation
16:21 Changeset in mainline [078e0e6]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Removed unnecessary calls to malloc32 and free32.
16:16 Changeset in mainline [4930b15]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Better log message for the status change handler.
16:13 Changeset in mainline [916991b]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Implemented SetStatusFeature request.
15:38 Changeset in mainline [9876e34]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Removed previous implementation, forwarding all events to hub, …
14:04 Changeset in mainline [44063d17]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
TRB ring for EP 0 is now stored in the virtual dcbaa.
10:58 Changeset in mainline [a4c066e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Added TRB macros, qword set
10:53 Changeset in mainline [0a42d381]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
USB3 specific descriptors
08:06 Changeset in mainline [d09d108]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
ehci: fix irq code broken by rev 2641
07:49 Changeset in mainline [f4665bdc]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Kfb should unmap the frame buffer when client connection is terminated.
07:36 Changeset in mainline [8afb485]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Decreased buffer size. Printing warning when interrupt fails.
07:34 Changeset in mainline [b669092]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Kfb should not map the frame buffer until it is claimed.
07:07 Changeset in mainline [fe8961d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
There is a good reason why there should be no default branch.

2017-10-04:

23:12 Changeset in mainline [0c4c6a2]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Invoking virtual interrupt on the emulated root hub upon status change.
22:14 Changeset in mainline [0cebbac]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Restore CDFS definition of primary volume descriptor, with properly …
21:53 Changeset in mainline [7e20bee]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Provide flag conversion.
19:01 Ticket #695 (e1000_link_restart() needs to be double-checked/tested) updated by Jiří Zárevúcky
Priority, Summary changed
I attempted to fix this in r2776. I haven't tested it in any way, …
18:46 Changeset in mainline [8974294]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Attempt to fix e1000_link_restart(). My understanding of the driver …
18:29 Changeset in mainline [36c3139]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
CDFS has structure fields after a field of variable length. This is …
18:27 Changeset in mainline [fa77af7]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Remove some color-related dead code.
18:25 Changeset in mainline [18902ca6]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Fix several bugs and typos detected by clang.
18:22 Changeset in mainline [63011c53]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
pcnt is unsigned, so it can't be used this way. Detected by clang.
18:17 Changeset in mainline [0e3b402]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Change forgotten GCC_CFLAGS in ia64 to COMMON_CFLAGS, for consistency.
18:13 Changeset in mainline [c9e88da]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Rename rtl8139_hw_int_enable() to rtl8139_hw_int_set(), since it's …
18:02 Changeset in mainline [05b59393]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Fix a couple of benign clang warnings. No change in semantics.
17:39 Changeset in mainline [e27e36e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Convert CUDA driver to DDF.
17:26 Changeset in mainline [0b2d369]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Print the tetris copyright.
17:25 Changeset in mainline [a41cda7]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Adjust clang arguments.
14:12 Changeset in mainline [a0a0d9f4]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Removed redundant debug messages.
14:10 Changeset in mainline [c68c713c]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Cleanup in constants and other small adjustments.
10:06 Changeset in mainline [816335c]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci: port speed detected properly The correct way to determine …

2017-10-03:

23:12 Changeset in mainline [5c5c9407]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Implemented GetPortStatus request using XHCI port registers.
20:57 Changeset in mainline [7776cb1]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Setting correct port number on root hub.
20:53 Changeset in mainline [f8199ab]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Set PwrGood constant.
20:50 Changeset in mainline [d14ca59]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Removed GetHubStatus request implementation.
19:59 Changeset in mainline [d07b052]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Setting root hub header upon init.
18:12 Changeset in mainline [a0a273e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Unify compiler handling a bit. Most compiler flags have been changed …
17:52 Changeset in mainline [65e079b]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Fixed ADDRESS_DEVICE command by fixing the size of input control …
16:54 Changeset in mainline [0f28387]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
toolchain.sh: enable gold linker, disable guile support, only touch …
16:53 Changeset in mainline [472235a]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci: refactoring Revise error paths, refactor some code.
16:18 Changeset in mainline [b4a9f5d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Recreate empty directories that aren't preserved in git.
16:04 Changeset in mainline [2c52073]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Remove CONFIG_OPTIMIZE_FOR_SIZE and instead directly set OPTIMIZATION …
15:53 Changeset in mainline [efe9463]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci: fix the irq code once again Previous code unintentionally …
15:17 Changeset in mainline [adb4e683]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Refactored the way event handling works, now we fetch all of the event …
11:36 Changeset in mainline [a1eb7c67]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Added synchronization to event ring enqueue, removed a leftover debug …
11:31 Changeset in mainline [a2b0ba3]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Added synchronization to trb rin enqueue.

2017-10-02:

23:05 Changeset in mainline [68f4671]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Revert previous commit. Looks like this was already fixed in the meantime.
22:54 Changeset in mainline [94868e1]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jzr <zarevucky.jiri@…>
Fix return of uninitialized variable.
21:07 Changeset in mainline [7e55bed7]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Rename CUDA types, soft state is the basic one.
20:52 Changeset in mainline [943aaf1b]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Eliminate global variables in CUDA driver.
20:13 Changeset in mainline [6886705]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Move CUDA hw-related definitions to a separate header file.
19:17 Changeset in mainline [1f76b7d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
fix: unlock rather than deadlock
19:16 Changeset in mainline [04df063]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci commands: enable (and encourage) keeping commands on the stack …
16:10 Changeset in mainline [370a1c8]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Extcap fix, not correctly determine if port is USB 2/3

2017-10-01:

22:54 Changeset in mainline [4688350b]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci commands: waiting for commands completion uses fibril condvar

2017-09-30:

18:52 Changeset in mainline [300f4c4]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Let the resource allocator treat 0 as a valid resource
18:24 Changeset in mainline [4d28d86]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jenda@…>
cstyle
13:16 Changeset in mainline [c9d905f]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jenda@…>
macros for handoff delay
13:07 Changeset in mainline [e6b0dba]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jenda@…>
BIOS handover
11:28 Changeset in mainline [cc9ac7c]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by jenda@…>
not casting NULL makes gcc complain
10:42 Ticket #671 (Allow arbitrary number of phones per task) updated by Jakub Jermář
Owner, Component changed
10:41 Ticket #670 (Allow arbitrary number of answerboxes per task) updated by Jakub Jermář
Owner, Component changed
06:44 Ticket #686 (IRQ pseudo code registration/deregistration broken) updated by Jakub Jermář
Component changed
06:43 Ticket #686 (IRQ pseudo code registration/deregistration broken) closed by Jakub Jermář
fixed: Fix merged in mainline,2757.
06:29 Changeset in mainline [91b60499]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Merge support for capabilities from lp:~jakub/helenos/caps This …

2017-09-29:

22:56 Changeset in mainline [6636fb19]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Add comments
21:46 Changeset in mainline [548c123]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
xhci commands: embed TRB into the command structure Previously, a …
11:08 Ticket #602 (Cannot download a 1M file from HelenOS over http/tcp.) closed by Jiri Svoboda
fixed: Fixed in mainline,2756 by having separate receive and …
11:07 Ticket #602 (Cannot download a 1M file from HelenOS over http/tcp.) updated by Jiri Svoboda
This problem could occur when two HTTP requests arrived close to each …

2017-09-28:

22:08 Changeset in mainline [48bcf49]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Introduce reference-counted kobjects Capabilities are thus reduced to …
17:01 Changeset in mainline [d076f16]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Websrv cannot use global buffers to handle HTTP requests concurrently.
16:07 Ticket #695 (e1000_link_restart() needs to be double-checked/tested) created by Jiří Zárevúcky
In uspace/drv/nic/e1k/e1k.c, said function unconditionally calls …
14:23 Ticket #546 (Some DNS lookups fail) closed by Martin Decky
fixed: Yes, I confirm that it works fine now. I consider this ticket fixed.

2017-09-27:

22:40 Changeset in mainline [7b616e2]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Name service should communicate using async.h.
15:04 Changeset in mainline [ab5a0830]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Ondřej Hlavatý <aearsis@…>
Handle interrupts correctly Now, irq_commands asserts all flags in …

2017-09-26:

21:03 Changeset in mainline [dd20cbb]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Do not return undefined value

2017-09-25:

20:51 Changeset in mainline [8d6bcc8c]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Move clipboard under location service.
19:41 Changeset in mainline [11d9630]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Fix handling of empty table cells.

2017-09-23:

23:05 UsersGuide/Networking edited by Jiri Svoboda
Update inet commands for changeset:mainline,2752 (diff)
22:20 Changeset in mainline [75c3830]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Separate display of addresses, static routes and IP links into …
11:41 Changeset in mainline [f538ef3]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
No need to have a (broken) BSD errx() function in libc.

2017-09-22:

17:25 Changeset in mainline [bd1745f]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Update and sort .bzrignore.
17:06 Changeset in mainline [5c769d54]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
devctl list-drv can use io/table.
07:21 Changeset in mainline [207d5da]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Fix extraneous empty line printed after table.

2017-09-21:

16:13 Changeset in mainline [5e962ad]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Add a module to format command output as a table.
00:02 Changeset in mainline [4c363fa2]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Most drivers can actually compile on most platforms.

2017-09-19:

19:59 Changeset in mainline [866a8f3]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Remove cap from type list when reclaiming
19:18 Changeset in mainline [6abfd250]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Rename caps_apply_to_all to caps_apply_to_type

2017-09-18:

20:52 Changeset in mainline [9e87562]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Make all accesses to capabilites exclusive This commit makes sure …
06:38 Changeset in mainline [797dc79e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Remove stray backslash.

2017-09-17:

23:37 Changeset in mainline [3e2291a9]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
TCP tests for ucall module and some more for conn module. Implement …

2017-09-16:

22:25 Ticket #694 (Assembler warnings on sparc64/niagara) created by Jiří Zárevúcky
In kernel/arch/sparc64/src/sun4v/start.S […]
22:17 Ticket #693 (Assembler warnings on MIPS) created by Jiří Zárevúcky
In kernel/arch/mips32/src/start.S […] In {{{ …
21:55 Ticket #692 (Assembler warnings on IA64) created by Jiří Zárevúcky
In uspace/lib/c/arch/ia64/src/entry.S […] In …
19:55 Ticket #691 (xorriso doesn't support "-hfs") updated by Martin Decky
This is exactly the reason why we prefer the original genisoimage or …
19:34 Ticket #691 (xorriso doesn't support "-hfs") created by Jiří Zárevúcky
When building for ppc32: […]

2017-09-15:

13:22 Changeset in mainline [6969eea3]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Martin Decky <martin@…>
cstyle, remove forgotten include directive

2017-09-14:

19:07 Ticket #690 (Invalid instruction in amd64 sin()/cos()) closed by Jakub Jermář
fixed: Fixed in mainline,2742.
19:06 Changeset in mainline [5918c00]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Do not irritate the assembler by FSTPL %sp(1) When moving data …
15:01 Ticket #690 (Invalid instruction in amd64 sin()/cos()) created by Jiří Zárevúcky
uspace/lib/math/arch/amd64/src/sin.S (and cos.S), line 70 contains …

2017-09-13:

20:49 Changeset in mainline [120d5bc]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Let df give 'human-readable' output by default. Use cap.h for better …
20:14 Changeset in mainline [6c4eedf]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Move capacity specification to libc.

2017-09-12:

19:27 Changeset in mainline [1d40c93d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Vojtech Horky <vojtechhorky@…>
Include development files by default on ia32 and amd64 On these …
15:48 Changeset in mainline [9520af7]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Allow TCP conn tests that involve transferring data by enabling an …

2017-09-11:

17:43 Changeset in mainline [0a1e7e4]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Connection can still be mapped when being deleted, must be unmapped …
16:34 Changeset in mainline [9713b0b]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Fix downloader null pointer dereference when writing to stdout.
11:49 CodingTips edited by Jiri Svoboda
Add mandatory and recommended coding practices (diff)
11:19 CStyle edited by Jiri Svoboda
Add rationale (diff)
07:05 Changeset in mainline [e1b4ae0]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Start adding tests for TCP conn module. Make sure all connections have …

2017-09-10:

17:48 Changeset in mainline [975d528]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Add unit tests for TCP tqueue. Fix tqueue possibly being finalized …
17:07 Ticket #689 (Taskdump cannot load symbols for binaries not in /app or /srv) updated by Jiří Zárevúcky
I see your point. I didn't consider applications distributed like that …
15:48 Ticket #689 (Taskdump cannot load symbols for binaries not in /app or /srv) updated by Jiri Svoboda
No, it isn't. If your binary application is distributed by means of a …

2017-09-08:

19:30 Ticket #689 (Taskdump cannot load symbols for binaries not in /app or /srv) updated by Jiří Zárevúcky
I guess the most common example would be chroot and related sandboxing …
14:11 Ticket #689 (Taskdump cannot load symbols for binaries not in /app or /srv) updated by Jiri Svoboda
Yes, although /proc/pid/exe gives just the contents of the binary (I …
13:44 Ticket #689 (Taskdump cannot load symbols for binaries not in /app or /srv) updated by Jiří Zárevúcky
So, something akin to Linux's /proc/pid/exe. There are some …

2017-09-07:

21:37 Ticket #689 (Taskdump cannot load symbols for binaries not in /app or /srv) updated by Jiri Svoboda
It would be nice to have a way to determine the full path of the …
21:21 Ticket #689 (Taskdump cannot load symbols for binaries not in /app or /srv) created by Jiri Svoboda
If a binary that is not in /app or /srv faults and is dumped (e.g. …
16:16 Changeset in mainline [12dcd5f]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Add unit tests for fibril timer.

2017-09-06:

22:16 Changeset in mainline [fc3d4fd5]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Downloader should properly clean up HTTP connection.
17:52 Ticket #602 (Cannot download a 1M file from HelenOS over http/tcp.) updated by Jakub Jermář
I can confirm that the stalls do not happen anymore. I tried …
17:08 Changeset in mainline [d14840d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Add test for TCP rqueue.
07:40 Ticket #593 (IP datagram reassembly needs to flush datagrams/fragments after some time) updated by Jiri Svoboda
Citing RFC 1122 Requirements for Internet Hosts — Communication …
07:24 Ticket #602 (Cannot download a 1M file from HelenOS over http/tcp.) updated by Jiri Svoboda
This ticket has gone a little bit off track. The download stall is no …
07:02 Ticket #688 (TCP unit tests broke ia64 build) closed by Jiri Svoboda
fixed: Fixed in changeset:mainline,2730
06:58 Ticket #688 (TCP unit tests broke ia64 build) updated by Jiri Svoboda
Status, Owner changed
06:53 Changeset in mainline [42f61f01]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Fix ia64/abs32le builds.
06:49 Ticket #589 (UDP packets corrupted under high load) closed by Jiri Svoboda
worksforme

2017-09-05:

21:38 Ticket #688 (TCP unit tests broke ia64 build) updated by Jakub Jermář
Description changed
21:28 Ticket #688 (TCP unit tests broke ia64 build) created by Jakub Jermář
The CI tests …
18:52 Ticket #546 (Some DNS lookups fail) updated by Jakub Jermář
Works for me on my setup.
18:47 Ticket #589 (UDP packets corrupted under high load) updated by Jakub Jermář
Neither I can reproduce this anymore. Looks like the issue is gone or …
14:38 Ticket #589 (UDP packets corrupted under high load) updated by Jiri Svoboda
Can you retest this with latest mainline? I was able to reproduce this …
14:28 Download edited by Vojtech Horky
Link to CI/latest (diff)
14:22 Ticket #655 (Networking stabilization campaign) updated by Jiri Svoboda
I agree with the need for automated testing. We also need basic …
13:57 Ticket #546 (Some DNS lookups fail) updated by Jiri Svoboda
Status, Owner changed
13:56 Ticket #546 (Some DNS lookups fail) updated by Jiri Svoboda
Can you please re-test with mainline,2729? I fixed two …

2017-09-04:

20:25 Changeset in mainline [e5f5ce0]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Create a slab cache for allocating phone_t structures
20:08 Changeset in mainline [431c402]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Create a slab cache for allocating irq_t structures
20:03 Ticket #683 (Clang build is broken on some architectures) updated by Jiří Zárevúcky
Status, Owner changed
19:45 Changeset in mainline [fa32c9f]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Fix getting of the kobject address
19:38 Changeset in mainline [63d8f43]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Allocate the kobjects referenced by capabilities dynamically
15:49 Changeset in mainline [20c82e3]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Fix DNS resolver bugs: Possible write via stale pointer, bad decoding …

2017-09-03:

19:58 Changeset in mainline [30c27e9]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Improve comments
19:45 Changeset in mainline [a5d0143]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Improve comments
19:23 Changeset in mainline [3422fb6]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Do not register IRQs inside of answerboxes
18:14 Changeset in mainline [9306cd7]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Make IRQ subscribe/unsubscribe thread safe again
14:15 Changeset in mainline [4d6629f]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Remove unused connection cloning
13:56 Changeset in mainline [8a45bf09]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Improve comments
09:22 Changeset in mainline [1ddbf81]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Add TCP iqueue test and missing seq_no_segment_ready test. Fix iqueue …

2017-08-31:

23:23 Changeset in mainline [e73dbc1]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Add TCP unit tests for segment and seq_no modules. Fix …
18:56 Changeset in mainline [94c5bc1]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Build disassembly files for test binaries.

2017-08-30:

21:31 Changeset in mainline [984f060]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Upgrade to QEMU 2.10.0

2017-08-29:

20:24 Ticket #687 (taskdump -t 6 deadlocks VFS and taskdump) created by Jakub Jermář
When one does: […] taskdump begins a udebug session with task 6 …

2017-08-28:

16:53 Camp2017 edited by Jakub Jermář
Link to the report (diff)

2017-08-27:

12:06 Changeset in mainline [868b0e9]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Update bzrignore.
11:59 Changeset in mainline [8ebc5b8a]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Start adding unit tests to TCP.

2017-08-26:

21:59 Changeset in mainline [b33d140]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
GPT should check alt. header block address for sanity before trying to …

2017-08-24:

16:57 Changeset in mainline [ac415d50]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Inetsrv is too chatty by default.
16:43 Changeset in mainline [9621c7d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Add simple coastline package installer. Add downloader option to save …

2017-08-23:

19:10 Changeset in mainline [258d77e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
After getting to the end of data (FIN), tcp_conn_recv[_wait] should …
18:37 Changeset in mainline [58e8646]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Fix DNS resolution not working due to missing local address.

2017-08-22:

20:16 Ticket #673 (stats command hangs while a killed tetris waits for a keypress) closed by Jakub Jermář
fixed: Fixed in mainline,2717
20:11 Changeset in mainline [853802e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Include task ID in connection hash table operations The task ID must …
20:09 Changeset in mainline [1d81eb6]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Copy entire ipc_data_t to uspace on answer This is necessary so that …
19:28 Changeset in mainline [83b7f4d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Fix doxygen comments
18:41 Changeset in mainline [295ee02]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Martin Decky <martin@…>
riscv64: disable interrupts when halting the CPU move all CPU-related …
18:10 Changeset in mainline [bb3ba51]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Martin Decky <martin@…>
riscv64: atomic operations
17:09 Changeset in mainline [b93ea46a]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jiri Svoboda <jiri@…>
Trivial gunzip utility.
16:08 Changeset in mainline [0a78e4f]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Martin Decky <martin@…>
riscv64: cycle performance counter
10:13 Ticket #673 (stats command hangs while a killed tetris waits for a keypress) updated by Jakub Jermář
The forgotten call is a VFS_IN_READ from the killed tetris task, …

2017-08-21:

20:01 Ticket #673 (stats command hangs while a killed tetris waits for a keypress) updated by Jakub Jermář
These are the interesting tasks: […] The hung stats command is …
18:55 Changeset in mainline [d639eaa]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Martin Decky <martin@…>
riscv64: update linker script, kernel functionality up to scheduling
18:54 Changeset in mainline [7850dda]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Martin Decky <martin@…>
riscv64: temporarily workaround GCC 7.1.0 internal compiler error
18:47 Changeset in mainline [6c742f5e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Martin Decky <martin@…>
riscv64: helper routines
18:46 Changeset in mainline [ccc362a1]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Martin Decky <martin@…>
riscv64: memory management routines, reflecting the latest Privileged …
18:42 Changeset in mainline [c16479e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Martin Decky <martin@…>
riscv64: interrupt management routines
18:41 Changeset in mainline [5f2926a]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Martin Decky <martin@…>
riscv64: context saving/restoring routines
18:40 Changeset in mainline [05b3640]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Martin Decky <martin@…>
riscv64: update boot interface
18:38 Changeset in mainline [fcfe416]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Martin Decky <martin@…>
riscv64: UC Berkeley Host/Target Interface driver
18:36 Changeset in mainline [c58441d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Martin Decky <martin@…>
cstyle
18:23 Changeset in mainline [ae8d7b0]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Martin Decky <martin@…>
riscv64: update to the latest Privileged Architecture specification (1.10)
17:47 Changeset in mainline [fdc29300]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Martin Decky <martin@…>
riscv64: add dummy I/O map support

2017-08-20:

23:53 Changeset in mainline [6da6039]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Removed old unneeded allocation in add_cmd, cmd should always be …
19:12 Changeset in mainline [3d8a3bd]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Fixed the issue that cause waiting for command completion in alloc_dev …
18:52 Changeset in mainline [decfc8d1]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Dcbaa_virt now contains the virtual device contexts instead of just …
17:54 Changeset in mainline [8b415cc]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Fixed root hub interrupt detection logic.
17:51 Changeset in mainline [ee28ae66]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Fixed deallocation in case of command init failure.
17:48 Changeset in mainline [d271f78]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Fixed deallocation incase of rh_init failure.
17:26 Changeset in mainline [88db88d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Do not access the capability table directly in phone_alloc()
17:09 Changeset in mainline [1d4148e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Added a trb own flag to the command structure to avoid tries to …
16:58 Changeset in mainline [e68765e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Store capability's handle inside of it
16:45 Changeset in mainline [3f74275]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Fix terminology around capabilities, capability handles and kernel objects
15:54 Changeset in mainline [9304b66]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Removing command upon deallocation.
14:53 Changeset in mainline [e7ac23d0]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Hide kobject implementation details
14:27 Changeset in mainline [c33c40e]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Fixed infinite loop bug. Support non-zero address for RH.
14:00 Changeset in mainline [17f24d9]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Discovered correct way of determining RH address.
13:41 Changeset in mainline [60ac352]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Better way to detect root hub interrupts.
13:22 Changeset in mainline [07c08ea]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Basic virtual implementation for the root hub.
12:01 Changeset in mainline [d32d51d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Updated RH implementation with virtual hub emulation structure.
10:35 PortingSoftware edited by Vojtech Horky
Fix links (diff)

2017-08-19:

14:24 Ticket #681 (Kernel should have assert.h) closed by Jakub Jermář
fixed: This was fixed in mainline,2673.
11:03 Changeset in mainline [49115ac]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Add tsk_destructor() to free task's kobject Freeing up kobject in …
10:29 Changeset in mainline [e7c4115d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Return the actual number of freed frames
00:01 Changeset in mainline [4d76cfc]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Remove the linear IRQ hash table optimization

2017-08-18:

23:27 Changeset in mainline [24abb85d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Remove SYS_DEVICE_ASSIGN_DEVNO
21:15 Changeset in mainline [e9d15d9]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Turn IRQ structures into kernel objects ipc_irq_subscribe() now …
21:09 Changeset in mainline [1c85bae]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Add hash_table_remove_item()
17:40 Changeset in mainline [5ff9e1d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Converting, ep_ring→dequeue is nonsensical as it already is a …
16:21 Changeset in mainline [706a3e2]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Dcbaa_virt now points to virtual device contexts that contain pointers …

2017-08-17:

19:11 Changeset in mainline [05ffb41]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Turn IPC phones into kobjects
19:10 Changeset in mainline [e439f468]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Fixed fini() assertion error.
19:01 Changeset in mainline [275f529]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Detecting transfer types.
17:51 Changeset in mainline [d3dce3f]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Corrected tab.
17:49 Changeset in mainline [176a70a]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Simple implementation of endpoint management with slot id's.
17:33 Changeset in mainline [c0ec9e7]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Added hooks for endpoint management.
15:25 Changeset in mainline [e4001f7]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Added cast to make compiler happy.
14:24 Changeset in mainline [d967aa1]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Converting to physical address.
12:08 WikiStart edited by Vojtech Horky
Fix link to nightly builds (diff)

2017-08-16:

21:52 Changeset in mainline [7e3826d9]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Provide basic interfaces to work with kobjects
20:32 Changeset in mainline [c8cec85]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jakub Jermar <jakub@…>
Introduce kernel objects
15:35 Changeset in mainline [40053529]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Fixed port reset.

2017-08-14:

18:35 Camp2017 edited by Jakub Jermář
Add check-in time (diff)

2017-08-09:

19:00 Changeset in mainline [d31705d]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Added missing command deallocation.
17:05 Changeset in mainline [9ee13a7]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Fixed deallocation, corrected cstyle, removed trailing whitespace, …

2017-08-08:

14:09 Changeset in mainline [6fa91e4c]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Michal Staruch <salmelu@…>
Added macros for device initialization for roothub.

2017-08-03:

08:06 Changeset in mainline [65c28f7]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Vojtech Horky <vojtechhorky@…>
libposix: add dlfcn.h, stdbool.h and sys/time.h

2017-08-01:

20:36 Ticket #686 (IRQ pseudo code registration/deregistration broken) created by Jakub Jermář
The IRQ pseudocode registration mechanism requires a unique "devno" …
13:04 Changeset in mainline [834d354]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Petr Manek <petr.manek@…>
Corrected cstyle.

2017-07-31:

20:00 Changeset in mainline [174788f]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Started working on device allocation.
19:59 Changeset in mainline [7428b92]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Fixed a typo, added ADD_SET macros for input ctrl context, removed …
19:58 Changeset in mainline [73e5b62]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
DCBAA now correctly holds physical addresses of the device contexts, a …

2017-07-30:

16:01 Changeset in mainline [c9bec1c]lfnserialticket/834-toolchain-updatetopic/msim-upgradetopic/simplify-dev-export by Jaroslav Jindrak <dzejrou@…>
Refactored the add_command function, the return of the cmd was meant …
Note: See TracTimeline for information about the timeline view.